Дом Перспективное мышление Проблемы производства чипов стоят перед законом Мура

Проблемы производства чипов стоят перед законом Мура

Видео: Время и Стекло Так выпала Карта HD VKlipe Net (Октября 2024)

Видео: Время и Стекло Так выпала Карта HD VKlipe Net (Октября 2024)
Anonim

Каждые несколько лет появляются истории о том, как умирает закон Мура - концепция, согласно которой число транзисторов в данной области удваивается каждые два года или около того. Такие истории существуют уже на протяжении десятилетий, но мы по-прежнему продолжаем видеть новые чипы с большим количеством транзисторов каждые несколько лет, в основном по графику.

Например, в феврале Intel представила 4, 3-миллиардный транзисторный чип под названием Xeon E7v2 или Ivytown на кристалле 541 квадратный миллиметр, используя 22-нм процесс. Десять лет назад высокопроизводительный процессор Xeon от Intel, известный как Gallatin, представлял собой 130-нм чип с 82 миллионами транзисторов на кристалле 555 квадратных миллиметров. Это не совсем в ногу с удвоением каждые два года, но это близко.

Конечно, это не значит, что он будет работать вечно, и действительно, в процессе производства чипов происходят большие изменения, которые влияют как на производство, так и на дизайн чипов, и все это будет иметь длительные последствия для пользователей.

Совершенно очевидно, что в течение длительного времени было ясно, что тактовые частоты не становятся быстрее. В конце концов, Intel представила чипы Pentium в 2004 году с тактовой частотой 3, 6 ГГц; сегодня топовый Core i7 компании работает на частоте 3, 5 ГГц с максимальной турбо-скоростью 3, 9 ГГц. (Конечно, есть люди, которые разгоняются, но так было всегда.)

Вместо этого дизайнеры отреагировали, добавив больше ядер к чипам и увеличив эффективность каждого отдельного ядра. Сегодня даже самый дешевый чип, который вы можете получить для настольного компьютера или ноутбука, - это двухъядерный чип, а четырехъядерные версии - обычное дело. Даже в телефонах мы видим множество четырехъядерных и даже восьмиядерных компонентов.

Это отлично подходит для запуска нескольких приложений одновременно (многозадачность) или для приложений, которые действительно могут использовать преимущества нескольких ядер и потоков, но большинство приложений по-прежнему этого не делают. Разработчики - особенно те, кто создает инструменты для разработчиков - потратили много времени на то, чтобы их приложения лучше работали с несколькими ядрами, но есть еще много приложений, которые зависят в основном от однопоточной производительности.

Кроме того, разработчики процессоров помещают намного больше графических ядер и других специализированных ядер (таких как те, которые кодируют или декодируют видео, или шифруют или дешифруют данные) в процессор приложения, что в значительной степени в отрасли называют гетерогенной обработкой. AMD, Qualcomm и MediaTek продвигают эту концепцию, которая имеет смысл для некоторых вещей. Это, безусловно, помогает в интеграции - делает чипы меньше и требует меньше энергии; и, кажется, имеет смысл в мобильных процессорах - таких как подход big.LITTLE, который ARM использует, когда он объединяет более мощные, но более энергоемкие ядра с теми, которые потребляют немного энергии. Для многих из нас большое значение приобретают чипы, которые потребляют меньше энергии при той же производительности, и, следовательно, мобильные устройства, которые работают дольше от батареи.

Использование огромного количества ядер - будь то графическое ядро ​​или специализированное ядро ​​x86 - безусловно, оказывает огромное влияние на высокопроизводительные вычисления, когда такие вещи, как платы Nvidia Tesla или Intel Xeon Phi (Knight's Corner), оказывают огромное влияние. Действительно, большинство ведущих суперкомпьютеров сегодня используют один из этих подходов. Но он все еще работает только для определенных видов использования, в первую очередь для приложений, в первую очередь для приложений, которые используют команды SIMD (одна инструкция, несколько данных). Для других вещей этот подход не работает.

И дело не только в том, что чипы не могут работать быстрее. Что касается производства, существуют другие препятствия для установки большего количества транзисторов на кристалле. За последнее десятилетие мы увидели все виды новых технологий для изготовления чипов, переходя от традиционной смеси кремния, кислорода и алюминия к новым технологиям, таким как «напряженный кремний» (где инженеры растягивают атомы кремния), заменяя ворота с материалами с высоким K / металлическим затвором, а в последнее время они переходят от традиционных плоских ворот к 3-D воротам, которые на языке Intel называются FinFET или «TriGate». Первые два метода в настоящее время используются всеми продвинутыми производителями чипов, и литейщики планируют ввести FinFET в следующем году или около того, после введения Intel в 2012 году.

Одна альтернатива называется FD-SOI (полностью обедненный кремний-на-изоляторе), методика, которую, в частности, разработала ST Microelectronics, в которой используется тонкий изолирующий слой между кремниевой подложкой и каналом для обеспечения лучшего электрического управления крошечными транзисторами в теория, обеспечивающая лучшую производительность и меньшую мощность. Но пока, похоже, что у крупных производителей, которые есть у FinFET, почти нет импульса.

В последнее время Intel решает вопрос о том, насколько далеко она продвинулась в производстве чипов, и, действительно, она начала поставлять серийное производство своих микропроцессоров Core по 22-нм техпроцессу с технологией TriGate около двух лет назад и планирует выпустить 14-нм продукты во второй половине. этого года Между тем крупные фабрики по производству микросхем планируют выпустить 20-нм техпроцесс в этом году с использованием традиционных плоских транзисторов, с 14 или 16-нм продуктами с FinFET, запланированными на следующий год.

Корпорация Intel демонстрирует слайды, показывающие, насколько далеко она опережает по плотности чипов, например, со дня аналитики:

Но литейные заводы не согласны. Вот слайд из последнего обращения TSMC к инвесторам, в котором говорится, что он может сократить разрыв в следующем году.

Очевидно, только время покажет.

В то же время, получить меньшие размеры кристалла сложнее с помощью традиционных инструментов литографии, используемых для травления линий в кремниевом чипе. Иммерсионная литография, которую отрасль использовала годами, достигла своего предела, поэтому поставщики теперь обращаются к «двойному шаблонированию» или еще большему количеству проходов, чтобы получить более точные размеры. Хотя в последнее время мы наблюдаем некоторый прогресс, долгожданный шаг к литографии экстремальных ультрафиолетовых лучей (EUV), который должен обеспечить более точный контроль, еще не наступил.

Такие вещи, как FinFET и множественные паттерны помогают создавать чипы следующего поколения, но с растущими затратами. Действительно, ряд аналитиков говорят, что стоимость одного транзистора производства при 20 нм не может быть лучше, чем стоимость при 28 нм, из-за необходимости двойного структурирования. И новые структуры, такие как FinFETs, вероятно, будут также более дорогими, по крайней мере, в начале.

В результате многие производители микросхем ищут еще более экзотические методы повышения плотности, даже если традиционные методы закона Мура не работают.

Флэш-память NAND использует самые передовые технологии, поэтому она уже сталкивается с серьезными проблемами при обычном горизонтальном масштабировании. Решение заключается в создании вертикальных строк NAND. Отдельные ячейки памяти не станут меньше, но поскольку вы можете сложить так много друг на друге - все на одной подложке - вы получите гораздо большую плотность в одном и том же месте. Например, 16-слойный 3D NAND-чип, изготовленный по 40-нм техпроцессу, будет примерно эквивалентен обычному 2D NAND-чипу, изготовленному по 10-нм техпроцессу (самый продвинутый используемый сейчас процесс - 16 нм). Samsung заявляет, что уже производит свою V-NAND (Vertical-NAND), а Toshiba и SanDisk последуют тому, что она называет p-BiCS. Micron и SK Hynix также разрабатывают 3D NAND, но, похоже, будут ориентированы на стандартную 2D NAND в течение следующих нескольких лет.

Обратите внимание, что это не то же самое, что 3D-чипирование. Память DRAM также поражает масштабирующую стенку, но имеет другую архитектуру, которая требует одного транзистора и одного конденсатора в каждой ячейке. Решение заключается в том, чтобы разместить несколько изготовленных микросхем памяти DRAM друг над другом, просверлить отверстия в подложках, а затем соединить их, используя технологию, называемую сквозными кремниевыми переходами (TSV). Конечный результат тот же - более высокая плотность при меньшей занимаемой площади - но это скорее более сложный процесс упаковки, чем новый процесс изготовления. Промышленность планирует использовать эту же технику для размещения памяти поверх логики, не только для сокращения занимаемой площади, но также для повышения производительности и снижения энергопотребления. Одним из решений, которое привлекло большое внимание, является Hybrid Memory Cube от Micron. В конечном счете, 3D-чипирование может быть использовано для создания мощных мобильных чипов, которые объединяют процессоры, память, датчики и другие компоненты в одном пакете, но все еще есть много проблем, которые необходимо решить с производством, тестированием и эксплуатацией этих так называемых гетерогенных 3D стеки.

Но технологии следующего поколения, о которых говорили производители микросхем, кажутся куда более экзотичными. На конференциях по микросхемам вы много слышите о направленной самосборке (DSA), в которой новые материалы фактически собираются в базовый транзисторный паттерн - по крайней мере, для одного слоя микросхемы. Это звучит немного похоже на научную фантастику, но я знаю ряд исследователей, которые считают, что это совсем не так уж далеко.

Между тем, другие исследователи изучают класс новых материалов, известных как полупроводники III-V в более традиционных стилях производства; в то время как другие рассматривают различные полупроводниковые структуры для дополнения или замены FinFET, таких как нанопроволоки.

Еще один метод снижения затрат - сделать транзисторы на пластине большего размера. Промышленность прошла через такие переходы, прежде чем перейти от пластин 200 мм к пластинам 300 мм (диаметром около 12 дюймов) около десяти лет назад. Сейчас много говорят о переходе на 450-мм пластины, при этом большинство крупных производителей пластин и поставщиков инструментов создают консорциум для поиска необходимых технологий. Такой переход должен снизить производственные затраты, но будет сопряжен с высокими капитальными затратами, поскольку потребует новых заводов и нового поколения инструментов для изготовления чипов. У Intel есть завод в Аризоне, способный производить 450 мм, но он отложил заказ инструментов, и многие поставщики инструментов также откладывают свои предложения, что делает вероятным, что первое реальное производство 450 мм пластин не будет до 2019 или 2020 в ближайшее время.

Кажется, все становится сложнее и дороже. Но это было так для производства полупроводников с самого начала. Большой вопрос всегда заключается в том, будут ли улучшения производительности и дополнительной плотности стоить дополнительных затрат при изготовлении.

ISSCC: расширение закона Мура

Как расширить закон Мура был главной темой на конференции по твердотельным цепям в прошлом месяце (ISSCC). Марк Хоровиц, профессор Стэнфордского университета и основатель Rambus, отметил, что причина, по которой у нас есть вычисления во всем сегодня, заключается в том, что вычисления стали дешевыми из-за закона Мура и правил Деннарда о масштабировании. Это привело к ожиданиям, что вычислительные устройства будут становиться все дешевле, меньше и мощнее. (Стэнфорд представил график производительности процессоров с течением времени на сайте cpudb.stanford.edu).

Но он отметил, что тактовая частота микропроцессоров перестала масштабироваться примерно в 2005 году, потому что плотность мощности стала проблемой. Инженеры достигли реального предела мощности - потому что они не могли сделать чипы более горячими, поэтому теперь все вычислительные системы ограничены по мощности. Как он отметил, масштабирование мощности - напряжение питания - меняется очень медленно.

Первое желание отрасли решить эту проблему - изменить технологию. «К сожалению, я не уверен, что мы собираемся найти технологию, которая заменит CMOS для вычислений», - сказал он, как для технических, так и для экономических проблем. Поэтому единственный способ увеличить количество операций в секунду - это уменьшить потребление энергии на одну операцию, сказал он, предположив, что именно поэтому сегодня у всех есть многоядерные процессоры, даже в их мобильных телефонах. Но проблема в том, что вы не можете продолжать добавлять ядра, потому что вы быстро достигнете точки снижения отдачи с точки зрения энергии производительности и площади кристалла. Разработчики ЦП знали об этом в течение некоторого времени и долгое время оптимизировали ЦП.

Горовиц сказал, что мы не должны забывать об энергии, используемой памятью. В своем выступлении он показал разбивку по энергопотреблению для текущего неопознанного 8-ядерного процессора, в котором ядра процессора использовали около 50 процентов энергии, а встроенная память (кэш-память L1, L2 и L3) - остальные 50 процентов., Сюда даже не входит внешняя системная память DRAM, которая может в конечном итоге составлять 25 процентов от общего энергопотребления системы.

Многие люди говорят об использовании специализированного оборудования (такого как ASIC), которое может быть в тысячу раз лучше с точки зрения энергопотребления на операцию по сравнению с универсальным процессором. Но, как отметил Горовиц, эффективность здесь частично объясняется тем, что она используется для конкретных приложений (таких как обработка модема, обработка изображений, сжатие видео и декомпрессия), которые в основном не обращаются к памяти. Вот почему это так сильно помогает с энергией - дело не столько в аппаратных средствах, сколько в переносе алгоритма в гораздо более ограниченное пространство.

Плохая новость в том, что это означает, что приложения, которые вы можете создавать, ограничены. Хорошая новость заключается в том, что вы можете создать более общий движок, который мог бы обрабатывать такого рода приложения с «высокой локальностью», что означает, что им не требуется доступ к памяти. Он называет это «Модель локальных вычислений» и «трафаретные приложения», которые могут работать на ней. Это, конечно, требует новой модели программирования. Стэнфорд разработал предметно-ориентированный язык, компилятор, который может создавать эти трафаретные приложения и запускать их на FPGA и ASIC.

Также на конференции ISSCC Минг-Кай Цай, председатель и исполнительный директор MediaTek, сказал, что с начала 1990-х люди спрашивают, как долго продлится закон Мура. Но, как сказал Гордон Мур в ISSCC в 2003 году, «экспонента не вечна. Но мы можем отложить ее навсегда». По его словам, индустрия проделала большую работу, поддерживая закон Мура более или менее. Стоимость транзистора продолжает свое историческое снижение. При стоимости 100 грамм риса (около 10 центов) вы могли купить только 100 транзисторов в 1980 году, но к 2013 году вы могли бы купить 5 миллионов транзисторов.

Цай сказал, что мобильные устройства достигли предела, потому что процессоры не могут эффективно работать на частотах выше 3 ГГц, а технология батарей не сильно улучшилась. MediaTek работал над этой проблемой, используя многоядерные процессоры и гетерогенную многопроцессорность (HMP). Он сказал, что компания представила первый настоящий 8-ядерный HMP-процессор в 2013 году, а ранее на этой неделе она анонсировала 4-ядерный процессор, использующий технологию PTP (Performance, Thermal и Power) для дальнейшего повышения производительности и снижения энергопотребления. Он также говорил о быстром прогрессе в подключении. По его словам, многие мобильные приложения, которые раньше были невозможны, теперь жизнеспособны благодаря этим улучшениям в сетях WLAN и WWAN.

MediaTek работает над различными технологиями для «Cloud 2.0», включая решения для беспроводной зарядки, SoC «Aster» для носимых устройств (размером всего 5, 4x6, 6 мм) и гетерогенные системы в рамках Фонда HSA, сказал он. Cloud 2.0, по словам Цая, будет характеризоваться гораздо большим количеством устройств, в частности носимых, с гораздо большим количеством радиостанций; более 20 радиостанций на человека к 2030 году.

Цай сказал, что основными проблемами для Cloud 2.0 будут энергия и пропускная способность. Первое потребует инновационных интегрированных систем, аппаратных и программных решений; лучшая технология батареи; и некоторая форма сбора энергии. Вторая потребует более эффективного использования доступного спектра, адаптивных сетей и более надежного подключения.

Что бы ни происходило с производством чипов, оно обязательно приведет к новым приложениям и новым решениям, с которыми столкнутся производители чипов, дизайнеры продуктов и конечные пользователи.

Проблемы производства чипов стоят перед законом Мура