Дом Перспективное мышление Исследуйте основные моменты конференции полупроводниковых цепей (isscc)

Исследуйте основные моменты конференции полупроводниковых цепей (isscc)

Оглавление:

Видео: ISSCC2018 - Semiconductor Innovation: Is the party over or just getting started? (Октября 2024)

Видео: ISSCC2018 - Semiconductor Innovation: Is the party over or just getting started? (Октября 2024)
Anonim

В последнее время мы много слышали о замедлении закона Мура, и, хотя в некоторых случаях это действительно так, в других областях полупроводникового бизнеса наблюдается постоянный прогресс. На прошедшей на прошлой неделе Международной конференции по твердотельным схемам (ISSCC), тенденции к большим микросхемам, казалось, заключались в развертывании новых материалов, новых технологий и новых идей для дальнейшего повышения плотности транзисторов и повышения энергоэффективности. Конечно, это не совсем новость. Мы увидели это в разговорах о производстве логических чипов на новых 7-нм процессах, создании 512-гигабайтных 3D NAND-чипов и о множестве новых процессоров.

Разработчики микросхем рассматривают новые конструкции и материалы для транзисторов, как показано на слайде выше от TSMC. Было также много дискуссий о новых инструментах для изготовления транзисторов, в том числе о достижениях литографии, таких как EUV и направленная самостоятельная сборка, а также о новых способах упаковки нескольких матриц вместе.

Прежде чем углубляться в детали, меня удивляет, насколько далеко продвинулась индустрия чипов и насколько широко распространенные чипы стали в нашей повседневной жизни. Технический директор Texas Instruments Ахмад Бахай отметил в своей презентации, что в 2015 году в отрасли было продано в среднем 109 чипов на каждого человека на планете. Его выступление было посвящено тому, как вместо рынков, где доминирует одно приложение - сначала ПК, а затем сотовые телефоны, - отрасли теперь нужно больше сосредоточиться на том, чтобы «сделать все умнее», поскольку различные виды микросхем попадают в огромное количество приложений.,

Однако отрасль сталкивается с большими проблемами. Число компаний, которые могут позволить себе построить передовые заводы по изготовлению логики, сократилось с двадцати двух на узле 130 нм до всего лишь четырех компаний на узле 16/14 нм (Intel, Samsung, TSMC и GlobalFoundries) с новым процессом. технологии стоят миллиарды, а новые заводы стоят еще дороже. Действительно, на прошлой неделе Intel заявила, что потратит 7 миллиардов долларов на разработку 7 нм в оболочке завода, построенного несколько лет назад в Аризоне.

Тем не менее, было много презентаций о планах различных компаний перейти на процессы 10 нм и 7 нм.

TSMC развернула процесс 10 нм, и первым объявленным чипом был Qualcomm Snapdragon 835, который должен быть выпущен в ближайшее время. TSMC может быть самым дальним в деле коммерциализации того, что он называет 7-нм процессом, а в ISSCC он описал функциональный 7-нм тестовый чип SRAM. При этом будет использоваться стандартная концепция транзисторов FinFET, но с некоторыми схема методы, чтобы заставить его работать надежно и эффективно при меньших размерах. Примечательно, что TSMC заявляет, что будет производить первую версию своих 7-нм чипов с использованием иммерсионной литографии, а не ждать EUV, как большинство его конкурентов.

Напомним, что то, что каждый из крупных производителей называет 7нм, сильно различается, поэтому с точки зрения плотности вполне возможно, что 7-нм процесс TSMC будет аналогичен предстоящему 10-нм процессу Intel.

Samsung также работает над 7 нм, и компания дала понять, что планирует ждать EUV. На выставке Samsung рассказала о преимуществах литографии EUV, а также о прогрессе, достигнутом в использовании этой технологии.

3D NAND

Некоторые из наиболее интересных анонсов были посвящены 512-гигабайтной флэш-памяти NAND и показали, насколько быстро растет плотность флэш-памяти NAND.

Western Digital (которая приобрела SanDisk) рассказала о флэш-устройстве 512 Гб 3D NAND, о котором было объявлено до начала шоу, и объяснила, как это устройство продолжает увеличивать плотность таких чипов.

Этот конкретный чип использует 64 слоя ячеек памяти и три бита на ячейку для достижения 512 Гб на кристалле размером 132 квадратных миллиметра. Он не такой плотный, как дизайн Micron / Intel 3D NAND, в котором используется другая архитектура с периферийными схемами под массивом (CuA), чтобы достичь 768 ГБ на матрице 179 квадратных миллиметров, но это хороший шаг вперед. WD и Toshiba заявили, что смогли повысить надежность и ускорить время чтения на 20 процентов и достичь скорости записи до 55 мегабайт в секунду (МБ / с). Это в пилотном производстве, и должно быть в массовом производстве во второй половине 2017 года.

Чтобы не отставать, Samsung продемонстрировала свой новый 64-слойный 3D-чип NAND 512 ГБ, спустя год после того, как она показала 48-слойное устройство 256 ГБ. Компания сделала большой шаг, чтобы продемонстрировать, что, хотя плотность площадей 2D NAND-вспышки росла на 26 процентов в год с 2011 по 2016 год, она смогла увеличить плотность площадей 3D NAND-вспышек на 50% в год с момента ее введения три года. тому назад.

Чип Samsung 512Gb, который также использует технологию «три бита на ячейку», имеет размер кристалла 128, 5 квадратных миллиметров, что делает его немного плотнее, чем дизайн WD / Toshiba, хотя и не так хорошо, как дизайн Micron / Intel. Компания Samsung провела большую часть своих выступлений, рассказывая о том, как использование более тонких слоев сопряжено с трудностями и как она создала новые технологии для решения проблем надежности и энергопотребления, возникающих при использовании этих более тонких слоев. Время чтения составляет 60 микросекунд (последовательное чтение 149 МБ / с), а скорость записи - 51 МБ / с.

Понятно, что все три больших флэш-лагеря NAND работают хорошо, и результат должен быть более плотным и, в конечном итоге, менее дорогим для всех из них.

Новые Связи

Одна из тем, которые я нашел наиболее интересными в последнее время, - это концепция встроенного многокомпонентного межкомпонентного моста (EMIB), альтернативы другим так называемым технологиям 2.5D, которые объединяют несколько умереть в одном чиповом пакете, который дешевле, так как не требует кремниевого вставки или сквозных кремниевых переходов. На выставке Intel говорила об этом, когда описывала 14-нм ПЛИС с частотой 1 ГГц, которая будет иметь размер кристалла 560 мм 2, окруженный шестью 20-нм приемопередатчиками, которые изготавливаются отдельно, даже, возможно, по другим технологиям. (Предположительно это Stratix 10 SoC.) Но это стало более интересным позже на этой неделе, так как Intel описала, как она будет использовать эту технику для создания серверных чипов Xeon с 7 нм и третьим поколением с 10 нм.

Процессоры в ISSCC

ISSCC видел ряд объявлений о новых процессорах, но не о чиповых объявлениях, а о технологии, которая фактически позволяет чипам работать как можно лучше. Мне было интересно увидеть новые подробности для ряда долгожданных фишек.

Я ожидаю, что новые чипы Ryzen, использующие новую архитектуру AMD ZEN, появятся в ближайшее время, и AMD предоставила гораздо больше технических деталей о дизайне ядра Zen и различных кэшах.

Это 14-нм чип FinFET, основанный на базовой конструкции, состоящей из комплекса ядер с 4 ядрами, 2 МБ кэш-памяти 2-го уровня и 8 МБ 16-полосного ассоциативного кэш-памяти 3-го уровня. Компания говорит, что базовая частота для 8-ядерного, 16-нить версия будет с частотой 3, 4 ГГц или выше, и заявлено, что чип предлагает более 40-процентное улучшение инструкций за такт (IPC), чем предыдущий дизайн AMD.

Результатом является новое ядро, которое утверждает AMD является более эффективный, чем нынешний 14-нм процессор Intel, хотя, конечно, нам придется подождать, пока финальные чипы увидят реальную производительность.

Как описано выше, изначально он будет доступен в виде настольных чипов, известных как Summit Ridge, и выйдет через несколько недель. Версия сервера, известная как Неаполь, должна появиться во втором квартале, а APU со встроенной графикой, в основном для ноутбуков, должен появиться в конце этого года.

IBM более подробно рассказала о чипах Power9, которые дебютировали на Hot Chips, разработанных для высокопроизводительных серверов и теперь описываемых как «оптимизированные для когнитивных вычислений». Это 14-нм чипы, которые будут доступны в версиях для масштабирования (с 24 ядрами, которые могут обрабатывать 4 одновременных потока) или для увеличения (с 12 ядрами, которые могут обрабатывать 8 одновременных потоков). Чипы будут поддерживать CAPI (Coherent Accelerator Processor) Интерфейс), включая CAPI 2.0 с использованием каналов PCIe Gen 4 со скоростью 16 гигабит в секунду (Гбит / с); и OpenCAPI 3.0, рассчитанный на работу на скорости до 25 Гбит / с. Кроме того, он будет работать с NVLink 2.0 для подключения к графическим ускорителям Nvidia.

MediaTek представил обзор своего готовящегося к выпуску Helio X30, 10-ядерного мобильного процессора с частотой 2, 8 ГГц, известного тем, что компания впервые выпустила процесс на 10 нм (предположительно в TSMC).

Это интересно, потому что у него есть три различных комплекса ядер: первый имеет два ядра ARM Cortex-A73, работающих на частоте 2, 8 ГГц, предназначенных для быстрой работы в тяжелых условиях; вторая имеет четыре ядра A53 с частотой 2, 5 ГГц, разработанные для наиболее типичных задач; а третий имеет четыре ядра A35 с тактовой частотой 2, 0 ГГц, которые используются, когда телефон находится в режиме ожидания или для очень легких задач. MediaTek утверждает, что энергосберегающий кластер A53 на 40 процентов эффективнее, чем кластер высокой мощности A73, и что кластер A35 со сверхнизким энергопотреблением на 44 процента эффективнее, чем кластер с низким энергопотреблением.

На выставке было много научных работ по таким темам, как чипы, специально разработанные для машинного обучения. Я уверен, что мы увидим гораздо больший акцент на этом в будущем, от графических процессоров до пассивно параллельных процессоров, предназначенных для обработки 8-битных вычислений, до нейроморфных чипов и пользовательских ASIC. Это зарождающееся поле, но оно привлекает огромное количество внимания прямо сейчас.

Еще дальше, самой большой проблемой может быть переход на квантовые вычисления, а это совершенно другой способ выполнения вычислений. Несмотря на то, что мы наблюдаем увеличение инвестиций, нам еще далеко до того, чтобы стать основной технологией.

В то же время, мы можем ожидать много новых интересных фишек.

Майкл Дж. Миллер - директор по информационным технологиям в частной инвестиционной фирме Ziff Brothers Investments. Миллер, который был главным редактором журнала PC Magazine с 1991 по 2005 год, пишет этот блог для PCMag.com, чтобы поделиться своими мыслями о продуктах, связанных с ПК. Никакой инвестиционный совет не предлагается в этом блоге. Все обязанности не принимаются. Миллер работает в частной инвестиционной фирме, которая может в любое время инвестировать в компании, чьи продукты обсуждаются в этом блоге, и не будет разглашаться информация о сделках с ценными бумагами.

Исследуйте основные моменты конференции полупроводниковых цепей (isscc)