Дом Перспективное мышление Обещания и проблемы euv на глобальном фундаменте

Обещания и проблемы euv на глобальном фундаменте

Оглавление:

Видео: GlobalFoundries ITDC Fab 8 (Октября 2024)

Видео: GlobalFoundries ITDC Fab 8 (Октября 2024)
Anonim

Одна из причин, по которой я был так заинтригован посещением GlobalFoundries в начале этого месяца, заключалась в том, что у меня была возможность увидеть литографическую машину EUV и узнать, как фирма планирует использовать ее.

Недавно у меня была возможность посетить фабрику в Коннектикуте, где ASML производит многие компоненты для такой машины EUV. Эти огромные инструменты используют ультрафиолетовое излучение (EUV), проникающее через маску, чтобы очертить линии для очень мелких элементов микросхем, и являются одними из самых сложных машин в мире. Они предназначены для замены ныне стандартных аппаратов для иммерсионной литографии, которые используют свет с длиной волны 193 нм в некоторых слоях процесса изготовления чипов.

Напомним, что машина EUV невероятно сложна. Как объяснил Джордж Гомба, вице-президент по технологическим исследованиям GlobalFoundries, этот процесс начинается с 27-киловаттного СО2-лазера, который запускается через систему транспортировки и фокусировки луча на крошечные оловянные капельки (диаметром около 20 микрон), генерируемые генератором капель. в плазменном сосуде. Первый импульс сглаживает каплю, а второй испаряет ее, создавая лазерную плазму (LPP). EUV фотоны, испускаемые из плазмы, собираются специальным зеркалом, которое отражает свет с длиной волны 13, 5 нм, и это излучение передается в промежуточную точку фокусировки, где оно попадает в сканер и проецируется через маску на кремниевую пластину. Гомба, который работает на заводе Albany Nanotech, сказал, что он работает с системами подготовки к выпуску EUV с 2013 года, и теперь ожидает, что EUV будет полностью запущен на GlobalFoundries ко второй половине 2019 года.

Эти инструменты настолько сложны, что им требуются месяцы работы, чтобы подготовить их к запуску в производство. На заводе Fab 8 в Мальте, штат Нью-Йорк, я увидел первые два инструмента EUV, которые были установлены; один почти завершен, другой находится в процессе производства, и еще есть место для еще двух.

Получение инструментов EUV в самом здании было сложной операцией. Главный завод был впервые закрыт; затем в потолке был установлен кран, а в боковой части здания образовалась дыра для перемещения новой массивной системы внутрь. Затем, конечно, он должен был быть подключен к другим инструментам на заводе. Это включало работу как в субфабе, который должен был быть настроен для инструмента источника, который создает лазер, используемый в процессе, так и в самой чистой комнате. Все это должно было быть сделано, сохраняя работоспособность остальной части фабрики на полной скорости.

Том Колфилд, старший вице-президент и генеральный директор Fab 8, сравнил это с «операцией на сердце во время марафона».

Статус EUV - и что еще нужно решить

Гэри Паттон, технический директор и вице-президент по всемирным исследованиям и разработкам для GlobalFoundries, сказал, что 7nm будет в производстве рисков на Fab 8 в этом году, а полное производство - в следующем году с использованием иммерсионной литографии и четырехугольной модели, но не EUV. Мульти-паттерн занимает больше времени, потому что он включает в себя больше шагов, и могут возникнуть проблемы из-за очень точного выравнивания, необходимого на каждом шаге, но эти инструменты литографии распространены, хорошо понятны и готовы сегодня. В будущем планируется предложить версию 7-нм процесса с использованием новых инструментов EUV.

EUV "сегодня не готов", сказал Паттон, сославшись на проблемы с источником питания, сопротивлением материалам и масками, особенно с развитием правильной пленки (тонкой пленки, которая проходит по маске или сетке).

В настоящее время машины EUV работают не так быстро: один инженер объяснил, что они могут производить около 125 пластин в час по сравнению с 275 пластинами в час для иммерсионной литографии. Они могут реально сэкономить время, потому что, если процесс уменьшает количество проходов для многократного формирования рисунка, он сохраняет не только шаги в литографии, но также в травлении и подготовке. Таким образом, по словам Колфилда, запуск EUV на самом деле должен стоить меньше, когда он будет готов.

Гомба отметил, что идея состоит не только в том, чтобы уменьшить 3 или 4 слоя оптической литографии, но и в том, чтобы уменьшить множество других этапов, потому что между каждым этапом литографии также происходит травление и другая обработка на пластине. По словам Гомбы, цель - сократить время цикла до 30 дней.

Точкой пересечения, вероятно, является четырехугольная структура, но многое зависит от выхода (который должен быть улучшен, поскольку этапы литографии EUV должны иметь меньшую изменчивость, чем этапы многократной иммерсионной литографии) и улучшения времени цикла. EUV также должна позволить разработчикам чипов работать в гораздо менее ограничительных условиях.

Но он также отметил, что есть некоторые проблемы, которые еще предстоит решить, особенно когда речь идет о пленке. Другой инженер объяснил, что излучение 13, 5 нм, используемое EUV, поглощается практически всем, поэтому внутренняя часть машины должна быть вакуумной. При использовании EUV большая часть энергии не проходит через сетку (маску), а нагревается. Пленка помогает защитить маску, но необходимо еще поработать, чтобы улучшить количество света, проходящего через пленку (пропускание), а также долговечность пленки. Это, в свою очередь, повлияет на производительность, долговечность масок и время безотказной работы всей машины.

В результате, сказал Паттон, компания первоначально предложит 7-нм термоусадочную пленку с EUV, которая будет использоваться в основном для контактов и переходов. Одно это может обеспечить увеличение плотности на 10-15% без больших инвестиций в проектирование. Когда проблемы будут решены, сказал Паттон, EUV может и будет использоваться во многих других слоях. (Джоэл Хруска из ExtremeTech , который также был в туре, более подробно здесь.)

Паттон отметил, что ASML должен получить «огромный кредит» за продвижение EUV, и сказал, что это «невероятный инженерный подвиг». Когда его спросили, действительно ли GlobalFoundries намерен делать EUV, Колфилд ответил, что фирма инвестировала 600 миллионов долларов, что означает «должен это сделать».

FDX и дорожная карта для будущего производства чипов

В широком обсуждении того, куда движется производство чипов, Паттон, который провел большую карьеру, работая над технологией чипов для IBM, объяснил, как меняется концепция, когда мы достигаем конца закона Мура. Он отметил, что в первые годы производства чипов речь шла о планарном масштабировании кремниевой CMOS. Затем, с 2000 по 2010 год, акцент был сделан на новые материалы; В настоящее время основное внимание уделяется 3D-транзисторам (FinFET, используемым в большинстве современных процессов) и 3D-стекированию.

К 2020 году, по его словам, мы достигнем пределов атомных размеров, поэтому нам нужно будет сосредоточиться на других способах инноваций, включая новые способы конструирования транзисторов (таких как нанопроволоки, заменяющие FinFET), новые виды подложек (такие как Fully). Технология истощенного кремния на изоляторе (GlobalFoundries); или новые уровни интеграции системного уровня (такие как усовершенствованная упаковка, кремниевая фотоника и встроенная память).

По словам Паттона, у GlobalFoundries есть две дорожные карты, над которыми она работает. Первый основан на современной технологии FinFET и предназначен для высокопроизводительных устройств. В GlobalFoundries это означает переход от текущего 14-нм процесса к пересмотру процесса, который он называет 12-нм, а затем в этом году к тому, что он называет 7-нм. Паттон сказал, что это лучше всего подходит для процессоров мобильных приложений и высокопроизводительных процессоров и GPUS, поскольку GlobalFoundries обещает повышение производительности устройства до 40 процентов и снижение общей мощности на 60 процентов по сравнению с 14-нм процессом. В равной степени это должно снизить затраты на штампы примерно на 30 процентов, до 45 процентов по сравнению с предыдущим поколением.

В этой части дорожной карты GlobalFoundries находится на аналогичном курсе по сравнению с дорожными картами конкурирующих компаний, таких как TSMC или Samsung.

Но для других приложений компания сосредоточена на том, что она называет FDX, ее брендом для полностью истощенной технологии кремний-на-изоляторе. Это плоская технология, означающая, что она не использует 3D-транзисторы, и Паттон сказал, что она обеспечивает более экономичное решение для мобильных процессоров среднего и низкого уровня, а также процессоров для Интернета вещей и многих автомобильных Приложения. Хотя некоторые исследования в этой области проводятся на Мальте, процесс FDX в основном организован в Дрездене, Германия. Текущая работа над этим процессом заключается в том, что GlobalFoundries называет своим 22-нм узлом FDX; намечено перейти к 12-нм процессу в следующем году.

Колфилд отметил, что «сокращения недостаточно» и что для перехода к следующему узлу GlobalFoundries также должен предложить большую производительность и принести реальную ценность для клиентов. Он отметил, что фирма пропустила 20 нм, а другие называют 10 нм, чтобы сосредоточиться на 7 нм, и сказал, что этот узел предлагает сокращение прямых затрат на 30–45 процентов по сравнению с 14 нм, что несколько компенсируется необходимостью в дополнительных масках для дополнительных шагов, требуемых несколькими структурирование.

Колфилд отметил, что более половины доходов фирмы остается на более старых узлах процесса, таких как узлы 28 и 40 нм. Сингапурский завод фирмы ориентирован на 40 нм и более старые процессы, а Дрезден производит на 22 нм и старше. Между тем все на Мальте сосредоточено на 14 нм и более новых процессах.

По словам Колфилда, на 7 нм компания хочет быть «быстрой подпиской», а на FDX она хочет быть «разрушительным» фактором на рынке.

Паттон отметил, что GlobalFoundries в 2015 году продемонстрировал 7-нм тестовый чип, который он разработал вместе с партнерами IBM и Albany NanoTech Complex. В 5 нм компания рассказала о нанолистах или транзисторах с круговым затвором и сосредоточилась на внутримодульной связи с использованием 2.5D и трехмерной упаковки чипов на кремниевых вставках для соединения различных кубиков матрицы и гибридной памяти. В прошлом году со своими партнерами он продемонстрировал 5-нм тестовый чип.

В течение многих лет я был впечатлен тем, насколько индустрия производства чипов смогла улучшить. Трудно представить себе другую индустрию, которая продвинулась бы так далеко и так быстро, и работа таких производителей инструментов, как ASML, и фабов, таких как GlobalFoundries, просто невероятна. Проблемы, с которыми они сталкиваются при реализации еще более быстрых чипов и более плотных конструкций, становятся все более и более сложными, но мой визит напомнил мне как о сложности современных процессов, так и о прогрессе, который мы продолжаем видеть.

Насколько вероятно, что вы порекомендуете PCMag.com?
Обещания и проблемы euv на глобальном фундаменте